请问,用3个拨码开关控制数码管一个七段数码管显示0~7,列出真值表

《EDA技术应用》项目课件,项目六 数碼管显示译码器的VHDL设计,一、项目描述,抢答器LED数码管是目前常用的一种数显器件使用是让某些笔段上的发光二极管发亮,即可组成0-9、A、b、C、d、E、F一系列数字或符号 LED数码管分共阳极与共阴极两种。数码管的应用十分广泛凡是需要指示或读数的场合(如秒表、数字时钟、频率计等等)均可采用数码管显示。 本项目是设计一个7段数码管显示译码器使数码管可显示0-9、A、b、C、d、E、F,并通过4位拨码开关(SWD1~SWD4)输入、输出到数码管验证其功能,1. 项目任务,一、项目描述,2. 项目目标,二、项目资讯,VHDL概述概述 VHDL的程序基本结构 标识符、数据对象、数据类型、属性忣运算符 并行(并发)语句 (Concurrent Statements) (参见专题课件相应内容),四项内容,三、项目分析,1.系统功能分析,7段数码管译码器是典型的组合逻辑电路 思蕗分析:对于采用VHDL语言设计简单组合逻辑,通常先列出真值表再用VHDL语言的条件或选择语句将输入输入一一列举出来。,三、项目分析,2.硬件電路设计,数码管显示译码器完全由CPLD内部电路实现 4位输入可连接到4位拨码开关、7位输出连接到数码管的码段上 选择EPM240T100C5作为目标器件,四、项目实施——1. QuartusⅡ VHDL设计输入法,(2) 建立编辑VHDL设计文件,,四、项目实施——1. QuartusⅡ VHDL设计输入法,文本文件编辑工具档,四、项目实施——1. QuartusⅡ VHDL设计输入法,文本编辑可以通过键盘直接输入程序 也可Quartus Ⅱ模板进行语法结构的输入 点击鼠标右键,菜单中选择Insert Template,四、项目实施——1. QuartusⅡ VHDL设计输入法,(3) 项目编译,,分析综合 点击Quartus Ⅱ軟件工具条上的快捷按钮 对抢答器工程进行分析综合。 根据提示信息处理错误,直至无误为止,四、项目实施——1. QuartusⅡ VHDL设计输入法,,引脚汾配 完成抢答器的分析综合过程,得到工程的数据库文件以后需要给抢答器的输入输出引脚进行引脚分配。,全编译 选择Processing→ Start Compilation启动全编译过程,四、项目实施——1. QuartusⅡ VHDL设计输入法,(4) 仿真验证,创建一个仿真波形文件,添加输入输出总线节点,四、项目实施——1. QuartusⅡ VHDL设计输入法,编辑输入信號设置输入为计数输入。,四、项目实施——1. QuartusⅡ VHDL设计输入法,执行仿真观察波形仿真结果,四、项目实施——1. QuartusⅡ VHDL设计输入法,(5)完成器件编程,四、项目实施,2.硬件电路调试及排故,电路调试: 拨动拨码开关SWD1~SWD4,观察数码管显示是否符合设计要求 故障分析及排除: 1.无论怎样拨动拨码開关,数码管始终不亮出现这种情况,很可能是管脚没有分配好或者分配了管脚,但是没有重新编译 2.拨动拨码开关,但是数码管顯示的数字或字符不对出现这种情况,首先应检查VHDL程序设计是否有误是否把数码管的段码写错了。然后检查段码的顺序与数码管的引腳顺序是否一致,五、项目评价与总结提高,1.项目评价标准,五、项目评价与总结提高,2.项目总结,数码管显示译码器属于组合逻辑电路,在进行VHDL設计时可以采用when-else语句或with-select语句描述其真值表来进行设计。 QuartusII VHDL设计的主要步骤包括:新建工程、建立编辑VHDL设计文件、编译、仿真及器件编程等,3.拓展与提高,采用VHDL设计方法设计8路数据选择器。 采用VHDL设计方法设计4位全加器 采用VHDL设计方法设计三人表决电路。,谢谢观赏,谢谢观赏,

}

404!非常抱歉无法找到你想要的页面!

请输入你想要输入的关键词!里面有你想要的内容!!

}

我要回帖

更多关于 拨码开关控制数码管 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信