四位右移verilog 移位寄存器器初始状态为0000,在4个CP脉冲作用下,输入的数码依次为1011,当

维修电工_文档库
文档库最新最全的文档下载
当前位置: & 维修电工
一汽-大众公司工会、培训中心
一汽-大众汽车有限公司首届员工技能大赛
维修电工考试试题
要求:请员工务必将正确答案写在答题纸上!
一、 单项选择题
(下面 78道题,0.5分/题,共计39分)
1. 8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是(
2. 十六路数据选择器的地址输入(选择控制)端有(
)个。 A .16
3. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是(
)。 A. ----0000
B. ----0000 C. ----1111
D. ----0111
已知74LS138译码器的输入三个使能端(E 1=1, E 2A
E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是(
D. . 一只四输入端或非门,使其输出为1的输入变量取值组合有(
)种。 A .15
D .1 6. 随机存取存储器具有(
7. N 个触发器可以构成最大计数长度(进制数)为(
)的计数器。 A.N
有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为1101时,
输出电压为(
A . 8.125V
9. 函数F=AB+BC ,使F=1的输入ABC 组合为(
A .ABC=000
B .ABC=010
C .ABC=101
D .ABC=110 10. 四个触发器组成的环行计数器最多有(
)个有效状态。 A.4
Word文档免费下载:
(共12页)
2017维修电工培训课件 - 振伍技能 维修电工培训教材 编辑:刘世华 ? 监制:付小刚 ? 版权归振伍培训所有。 ? ?振伍技能培训中心制 维修电工培训 课程安排: 1. ...2016年维修电工比赛 - 2016 年职工职业技能大赛 维修电工实操试题 一、竞赛内容与操作考核 (一)竞赛内容 竞赛分为理论考核和操作考核,其中理论占 30%,实操占 70...维修电工国家职业标准_中职中专_职业教育_教育专区。维修电工国家职业标准 维修电工国家职业标准一、职业概况 1、职业名称:维修电工。 2、职业定义 从事机械设备和...现阶段维修电工的基本要求 - 现阶段维修电工的基本要求 【摘要】维修电工在电力工程运行的过程中起到至关重要的作用,现代社 会的经济和科技都得到了高效地发展,...维修电工岗位职责_电力/水利_工程科技_专业资料。维修电工岗位职责 1. 严格遵守公司各项规章制度,服从领导安排,除完 成日常维修任务外,有计划地承担其它工作任务。 ...维修电工等级要求_电子/电路_工程科技_专业资料。维修电工技术等级标准一、职业定义 使用电工工具和仪器仪表,对设备电气部分(含机电一体化)进行安装、调试、维修。 ...《维修电工》职业标准 一、 职业概况 1.1 职业名称 维修电工 1.2 职业定义 对各种设备的电气部分(含机电一体化产品)进行安装、调试、维修的人员。 1.3 职业...维修电工工作经验和注意事项 1、作为一名合格电工,在作业前首先要保证自己的精神状态良好,只有在精神良好的情况下才能安全的完 成电工作业,在日常工作中可能会出现...维修电工教案_理学_高等教育_教育专区。来宾职业教育中心学校 教 案 本
年学年度第二学期 科目 电工技能实训 班级 13 秋电子 1,2 班 教师 祝显浪 ...第一章 电工基本要求第一节、 维修电工基本安全常识 第二节、 安全用电和消防常识 第三节、 触电急救知识和方法 20 第一节 维修电工基本安全常识 一、 维修...豆丁微信公众号
君,已阅读到文档的结尾了呢~~
广告剩余8秒
文档加载中
第六章 时序逻辑电路
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
第六章 时序逻辑电路
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口豆丁微信公众号
君,已阅读到文档的结尾了呢~~
第一章 半导体二极管——所有资料文档均为本人悉心收集,全部是文档中的精品,绝对值得下载收藏!
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
第一章 半导体二极管
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
重庆大学04实验
MSI移位寄存器及其应用
下载积分:3000
内容提示:重庆大学04实验
MSI移位寄存器及其应用
文档格式:PPT|
浏览次数:5|
上传日期: 08:37:57|
文档星级:
全文阅读已结束,如果下载本文需要使用
 3000 积分
下载此文档
该用户还上传了这些文档
重庆大学04实验
MSI移位寄存器及其应用
关注微信公众号温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
1.shiter.v//4位移位寄存器module shifter(rst,clk_in1,din,clr,dout,clk_1hz);input clk_in1,din,clr,output[3:0]output clk_1reg[3:0]reg clk_1wire &clk,clk_//call the clk_div moduleclk_div clk_div1(.rst(rst),.clk_in(clk_in1),.clk_out(clk),.clk_test(clk_test));always @(posedge clk,posedge clr) & & & & & & & & & & & & & & & & & & & & & & & & begin
if(clr) // clear signal,active-high lever
dout&=4'b0;
dout&=dout &&1;//输出信号左移一位
dout[0] &=//输入信号补充到输出信号的最低位
end endalways @(*) begin&
clk_1hz &=0;
clk_1hz &= clk_//output the clk directly, test whether the div_freq is right or not.& endendmodule&2.clk_div.v// 分频器部分 ,获得便于试验观察的时钟信号module clk_div(rst,clk_in,clk_out,clk_test);input rst,clk_output clk_out,clk_reg clk_out,clk_reg[25:0]//50_000_000=11_00_00parameter cnt=50_000_000;/// 50MHz is the sys clk,50_000_000=2FAF080//parameter cnt=4; always @(posedge clk_in,negedge rst)
& &if (!rst)
clk_out &=0;
clk_test &=0;
counter &= 0;
counter &= counter+1;
if(counter == cnt/2-1)
clk_out &=!clk_
clk_test &=!clk_//test the clk_div is work ?
counter &= 0;
endendmodule&3. modelsim 仿真模块`timescale 1 ns/ 1 psmodule shifter_vlg_tst();// constants & & & & & & & & & & & & & & & & & & & & &&// general purpose registers// test vector input registersreg clk_in1;// wires & & & & & & & & & & & & & & & & & & & & & & &&wire clk_1wire [3:0]// assign statements (if any) & & & & & & & & & & & & &shifter i1 (// port map - connection between master ports and signals/registers && .clk_1hz(clk_1hz), .clk_in1(clk_in1), .clr(clr), .din(din), .dout(dout), .rst(rst));initial & & & & & & & & & & & & & & & & & & & & & & & &begin & & & & & & & & & & & & & & & & & & & & & & & & &// code that executes only once & & & & & & & & & & & &// insert code here --& begin & & & & & & & & & & & & && & din=0;clr=0;clk_in1=0;rst=1; #20 rst =0; #50 rst =1; #50 clr =1; #20 clr =0; #100 din =1;// #100 clr =1;// #100 clr =0; #100 din =0; #100 din =1; & & & & & & & & & & & & & & & & & & & & & & & & &&// --& end & & & & & & & & & & & & & & & & & & & & & &&$display("Running testbench"); & & & & & & & & & & &&end&//initial forever #10 clk_in1 = ~clk_in1;always #10& clk_in1 = ~clk_in1; & & & && & & & & & & & & & & & & & & & & & & & & &always & & & & & & & & & & & & & & & & & & & & & & & &&// optional sensitivity list & & & & & & & & & & & & &&// @(event1 or event2 or .... eventn) & & & & & & & & &begin & & & & & & & & & & & & & & & & & & & & & & & & &// code executes for every event on sensitivity list &&// insert code here --& begin & & & & & & & & & & & & && & & & & & & & & & & & & & & & & & & & & & & & & & & &@ & & & & & & & & & & & & & & & & & & & & & & &// --& end & & & & & & & & & & & & & & & & & & & & & &&endinitial #10000 $& & & & & & & & & & & & & & & & & & & & & & & & & &&endmodule
阅读(14423)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_',
blogTitle:'一个四位移位寄存器的Verilog代码',
blogAbstract:'系统时钟50Mhz。(代码主体来自革新实验箱)1.shiter.v//4位移位寄存器module shifter(rst,clk_in1,din,clr,dout,clk_1hz);input clk_in1,din,clr,output[3:0]output clk_1reg[3:0]reg clk_1wire &clk,clk_//call the clk_div module',
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:0,
publishTime:2,
permalink:'blog/static/',
commentCount:0,
mainCommentCount:0,
recommendCount:2,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}}

我要回帖

更多关于 移位寄存器初始值 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信