为什么DAC芯片可以用作高压线性恒流芯片规律的数控衰减器

购买最佳搭配
定 价:&32.00
文轩价:&24.60
(7.69折)
出版时间:
开 本:其他
页 数:无
印刷时间:
字 数:304千字
装 帧:平装
语  种:中文
I S B N:9
第1章&&龙门阵
1.1&&半导体器件出现前电子技术的有源器件――电子管
1.2&&从电视剧《潜伏》中的“雷人”录音机说起
1.3&&电视剧中“穿帮”的电子设备
1.3.1&&微型窃.听器
1.3.2&&收发报机
1.3.3&&录音机
1.4&&解放前的无线电台
1.5&&我的第一个
1.5.1&&第一个电子作品
1.5.2&&读的第一本无线电专业书籍
1.5.3&&第一台电子管收音
1.5.4&&参加的第一个科研项目
1.5.5&&制作的第一个PCB
1.5.6&&参加的第一个合作“研制”的电子产品
1.5.7&&开设的第一门电子课程
1.5.8&&合作试制的第一种数字实验仪器
1.5.9&&接触的第一台晶体管仪器
1.5.10&&编写的第一本电子教材
1.5.11&&编写的第一本专业书籍
1.5.12&&维修的第一台工业设备
1.5.13&&第一个集成电路的控制装置
1.5.14&&开发的第一套单片机应用系统
1.6&&PCB绘制沿革
1.7&&可怜的小水电站
第2章&&用好元器件
2.1&&电阻器
2.1.1&&电子元器件的减额设计
2.1.2&&电阻器应用要点
2.1.3&&电阻衰减器
2.1.4&&数字电位器
2.2&&电容器
2.2.1&&你能用好电容器吗?
2.2.2&&耦合电容的“耦合”何解?
2.2.3&&注意对电容的特殊要求
2.2.4&&用好电解电容
2.2.5&&储能高手――“超级电容”
2.3&&电解电容的寿命
2.4&&有十大特点的VMOS管
2.5&&电源管理所用器件
2.6&&晶闸管
2.7&&电磁继电器与固态继电器
2.7.1&&电磁继电器
2.7.2&&固态继电器(SSR)
2.7.3&&电磁继电器与固态继电器的比较
2.8&&基准电压源
2.8.1&&基准源的容差
2.8.2&&“温飘”与“时飘”
2.9&&功率器件
2.9.1&&功率器件升温的影响
2.9.2&&“退烧”的有效方法――散热片
2.10&&“调皮”的键
2.10.1&&“调皮”的键
2.10.2&&怎么“调教”键?
2.11&&可靠性预期的利器――元器件计数法
2.11.1&&MTBF的计算
2.11.2&&元器件计数法可靠性预计
2.11.3&&元器件应力分析可靠性预计法
第3章&&模拟电路拾零
3.1&&多级放大器的增益与带宽
3.2&&电流反馈型运算放大器原理及应用
3.3&&模拟电路的计算机辅助设计软件
3.3.1&&放大器计算机辅助设计软件
3.3.2&&滤波器计算机辅助设计软件
3.4&&开关电容滤波芯片的应用
3.4.1&&开关电容滤波的基本原理
3.4.2&&引脚可编程的开关电容滤波器MAX263/264/267/268
3.4.3&&MAX263/264/267/268设计要点
3.4.4&&开关电容滤波器应用实例
3.4.5&&Linear&Technology公司的LTC1068芯片
3.5&&微弱电流测量的两种方法
3.5.1&&双切换电容积分测量方法
3.5.2&&电阻反馈法
3.6&&电子技术的“母亲”
3.6.1&&和大地根本没关系的“地”
3.6.2&&安全地
3.6.3&&屏蔽地
3.6.4&&地电流
3.6.5&&通用光电耦合器
3.6.3&&线性光电耦合器
3.7&&去耦何解?
3.8&&调光器是怎么工作的?
3.9&&模拟电路分析的利器一图解法
3.10&&“输入电阻”与“输出电阻”浅析
3.10.1&&定义
3.10.2&&影响
3.10.3&&测量
3.10.4&&设定
3.11&&几个有关市电的知识
3.11.1&&各国市电电压与频率
3.11.2&&我国市电电压与频率规范
3.11.3&&市电供电设备供电参数的考虑
3.12&&注意电源的“恒”与“稳”
3.12.1&&恒压与稳压
3.12.2&&恒流与稳流
3.13&&电流检测方法
3.13.1&&I-R-V法
3.13.2&&电流传感器法
3.14&&数控稳压、稳流电源设计
3.14.1&&数控线性串联稳压电路
3.14.2&&数控稳流电路
3.15&&视觉暂留与电子技术
3.16&&导线的安全载流量
3.16.1&&铜线的电流密度
3.16.2&&PCB导线的宽度
3.17&&一种锂电池组充电器的设计
3.17.1&&性能要求
3.17.2&&电池的选择
3.17.3&&充电器方案选择
3.18&&浅谈AGC
第4章&&数字电路偶得
4.1&&为什么许多芯片的控制口都低电平有效?
4.2&&注意几个术语的异同
4.2.1&&“分频器”与“计数器”
4.2.2&&“模拟开关”与“数据选择器”
4.2.3&&“可重复”与“不可重复”单稳态触发
4.2.4&&“异步计数”与“同步计数”
4.3&&数字器件空闲端的处理
4.3.1&&空闲输入端的处理
4.3.2&&空闲输出端的处理
4.4&&异步信号同步化
4.4.1&&异步信号同步化的必要性
4.4.2&&同步化电路
4.5&&一种简单的数控占空比方法
4.6&&CMOS反相器的一种特殊应用一一放大
4.7&&CMOS器件的一个重要公式
4.8&&一种简单的二倍频电路
4.9&&倍频器与数控频率
4.9.1&&倍频器
4.9.2&&数控频率
4.10&&DAC与DPoT的异同
4.11&&分立DDS与双极性输出的DAC电路
4.12&&如何将8位DAC变成16位DAC?
4.13&&基于DAC的频率可数控的函数发生器
4.14&&乘法数模转换器(M―DAC)的特点
4.14.1&&普通倒T型DAC
4.14.2&&乘法DAC
4.15&&ADC的输入方式
4.15.1&&单端输入
4.15.2&&差分输入(Differential)
4.15.3&&单端一差分转换
4.16&&ADC的积分与微分非线性
4.16.1&&积分非线性(Integral&Nonlinearity)
4.16.2&&微分非线性(Differential&Nonlinearity)
4.17&&一种改善ADC微分非线性的特殊方法――滑尺
4.17.1&&DNL对核能谱测量的影响
4.17.2&&硬件滑尺技术
4.17.3&&MCU滑尺技术
4.18&&ADC的其他参数
4.18.1&&采样速率
4.18.2&&量化噪声及信噪比
4.18.3&&信噪失真比SINAD
4.18.4&&有效位ENOB
4.19&&如何提高ADC的精度?
4.19.1&&ADC的位数的选择
4.19.2&&基准电压源
4.19.3&&失调与增益的校正
4.19.4&&抗干扰
4.19.5&&抗混叠滤波器(anti―alias&filter)
4.19.6&&PCB的大面积接地和加粗的电源线
4.20&&一种ENOB达23位的ADC
4.20.1&&ADSl255简介
4.20.2&&硬件电路
4.20.3&&软件设计
4.20.4&&ENOB测试
4.21&&机械按键开关的抖动与去抖
第5章&&仪表杂记
5.1&&数字仪表的误差
5.1.1&&从量化误差说起
5.1.2&&数字仪表误差的定义
5.1.3&&数字仪表绝对误差的计算
5.2&&数字万用表二个常见故障的分析
5.2.1&&电压测量超差
5.2.2&&电流档损坏
5.3&&数字万用表交流测量的两个误区
5.3.1&&数字万用表交流测量的基本原理
5.3.2&&误区之一――未考虑被测信号的波形
5.3.3&&误区之二――未考虑被测信号的频率
5.4&&数字万用表能测电感吗?
5.5&&“二极管”档功能扩展
5.5.1&&测量原理
5.5.2&&“二极管”档功能扩展
5.6&&数字示波器
5.6.1&&探极起什么作用?
5.6.2&&“单次触发”有什么用?
5.6.3&&可贵的FFT功能
奚大顺&&教授。1960年毕业于电子科技大学,此后一直在高校从事教学、科研工作,开发过多项电子产品。曾任*国微计算机单片机学会理事,四川电子学会单片机及嵌入式系统应用协会秘书长、副主任委员。出版过《放射性物探仪器》、《电子设计技术》、《电子系统设计――基础篇》、《电子系统设计――专题篇》等书籍。
青年(14-20岁),普通成人
&&&&《电子技术随笔:一位老电子技术工作者的心得》是一本作者奚大顺在多年教学、科研和产品开发中积累的点滴心得、经验与教训的小结。作者以自身的技术经历,从一个侧面反映了电子技术的发展历程;着重介绍了电子元器件的使用方法,记述了对若干模拟电路、数字电路的理解及设计心得,也谈及数字万用表、数字示波器的一些使用经验。内容浅显,通俗易读,紧密结合实践。可以说是教学、设计实践的体会。
本书可供电子专业本专科学生在学习模电、数电及其他相应课程时参考,也可以作为电子设计竞赛培训的辅助读物,同时也能为电子技术工作者借鉴。
定价:为出版社全国统一定价;文轩价:为商品的销售价,是您最终决定是否购买商品的依据;受系统缓存影响,最终价格以商品放入购物车后显示的价格为准;
新广告法规定所有页面不得出现绝对化用词和功能性用词。
本店非常支持新广告法,但为了不影响消费者正常购买,页面明显区域本店已在排查修改,对于不明显区域也将会逐步排查并修改,我们在此郑重声明:本店所有页面上的绝对化用词与功能性用词在此声明全部失效,不作为赔付理由。因极限用词引起的任何形式的商品赔付,本店不接受且不妥协。希望消费者理解并欢迎联系客服帮助完善,也请职业打假人士高抬贵手。《程控放大器的设计》 www.wenku1.com
程控放大器的设计日期:
HEFEI UNIVERSITY 程控放大器的设计 系 别 电子信息与电气工程系 专 业 电气信息类 班 级 09级电气(4)班 姓 名 李浩 刘阳 程超 完成 时间 日
摘要:本设计由三个模块电路构成:前即高共模抑制比仪器,8wei DAC0832衰减器,和单片机键盘显示处理模块。前级模拟放大部分具有高共模抑制比,高输入电阻,可调节放大倍数;DAC衰减器将模拟放大器的输出信号进行相应的衰减;键盘输入信号放大的倍数,并同时选取适当放大倍数,通过单片机整体控制,实现信号方大的功能。一:方案设计与论证1.放大电路可行方案:如图所示,线路前级为同相差动放大结构,要求量运放的性能万群相同,这样,线路除具有差模,,共模输入电阻大的特点外,量运放的共模增益,失调机其漂移长生的误差也相互抵消,因而不需要精密匹配电阻。后即的作用是抑制共模信号,将双端输出转变为单端放大输出,一室印发给接地负载的需要,后即的带你组精密则要求匹配。增益分配一般前级去高值。 可改进为:因为其电路结构简单,易于定位和控制。但要调节增益必须手动调节变阻器,所以考虑将放大倍数设成固定值,以满足题目的需要。2.控制部分利用单片机,MCU最小系统可由51单片机或其他派生芯片构成。置数键可由0-9这10个数字级几个功能键组成,在软件的控制下,单片机开机后先将预置数输入,在送去显示的同时,送入DA然后等待键盘终端,并做相应的处理。二:系统总体设计方案1.总体设计思路根据题目的要求,我们认真取舍,充分利用了模拟和数字系统的有点,采用单片机控制放大器增大的方法,大大的提高了系统的精密度;采用仪器放大其输入,大大提高了放大器的质量。有篇运放构成的前几高共模输入的仪表差动放大器,对不同的差模输入信号电压进行不同的方大倍数,再经过后即的数控衰减器得到要求放大的倍数的输出信号。每种信号渡江在单片机的算法控制下得到最合理的前几放大和后即衰减,一是信号放大的质量最佳。下图为系统原理图: 2.原理分析和说明(1)测量放大器的原理在工也自动空制的领域中,长需要对原理运放的多路信号进行测量,由于信号原理运放,两者点为不一致,不可避免的存在长线干扰和传输网阻带来的误差。为了抑制干扰运放采用差动输入方式。对测量电路的基本要求是1.高输入阻抗,以抑制信号源与传输网络不对称引起的误差。2.高共模抑制比,以抑制各种共模信号干扰引入的误差。3.高增益及宽的增益调节范围,以适应信号电源电平的宽范围。以上要求的电路组有以下几种经典的组合:同相串联式高阻测量放大器,同相并联式高阻测量放大器,高共模抑制测量放大器,抑制共模信号的最简单方法是在基本的同相并联电路之后,再接一级差动运算放大器,它不仅能隔断共模信号的传递,还能将双端变单短,适应接地负载的需要。它具有输入阻抗高、增益调节方便、漂移相互补偿、以及输出不包含共模信号等优点,代价是所用组件数目较多,共模抑制能力有所下降。为了提高整个电路的共模抑制能力,除了设法提高前置级和输出级的共模抑制比外,使整个电路的增益主要由前置级来承担,也是十分有利的,但只要会限制差动输入电压范围。一般应用中,差动预算放大器的主要问题是提到共模抑制比,所以往往会使输出级的增益取低值,前置级的增益取高值,把输入电压的工作范围放在第二位考虑。(2)控制原理设计本系统的控制由单片机完成,信号将在前级放大的基础上在经后级数控衰减器才得到最终放大倍数,因此其控制特色也主要体现在这两个方面。前级仪用放大器的放大倍数的适当选取是在单片机的算法控制下实现的,在用户预设的放大倍数有多种设定方式时,开关动作的原则是:选择最小的前级放大倍数和相应最小的后级衰减方式。这样的选择可以是放大器和衰减器引起的误差最小。例如,从键盘输入的放大倍数为9,虽然有三种阻值的模拟放大带电路可以选择,但只能根据输入电压的大致范围选择一种,并配合单片机的编程进行真确的电压放大。在衰减带你路中,由一片D/A构成的控制器在单片机的控制下对用户预设的放大倍数做出响应,DAC08328位口的输入则相当于对该网络的输出电阻进行编程,对于输入不同的数字量,得到不同的输入输出电压比。由于前级放大器已经对输入信号有一定的放大,在D/A中只要做相应的衰减即可满足题目要求。3.采用元器件的说明(1)单片机1.单片机的定义单片机是将CPU、RAM、ROM、(A/D)、T/C和多种接口电路集成在一个集成块上的集成电路 2.单片机的特点1.ROM、RAM采用两个不同的地址指针,分别对ROM、RAM区进行寻址访问。2.芯片的引脚具有多种功能。3.具有多个SFR,外部接口SFR化,SFR的地址RAM化。4.有一个全双工串行接口,可狠方便地成RS-232/RS-485标准串行接口,实现外部设备的串行通信。5.具有独立操作的的位处理器、可位寻址的RAM、SFR、I/O口,因此单片机具有很强的位处理能力。6.单片机的特点是体积小、成本低、功能强、功耗小。3.单片机的引脚以及各引脚的功能 4.单片机的功能简述停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RA AT89S52是一种低功耗高性能CMOS8位微控制器,具有8K 在系统可编程,Flash存储器。使用Atmel公司高密度非易失性存储技术制造,与工业80C51产品指令和引脚完全兼容。片上Flash允许存储器在系统可编程Flash,使得AT89S52 为众多嵌入式控制应用系统提供灵活、超有效的解决方案。AT89S52具有以下功能:8K字节Flash,256字节RAM,32位定时器,看门狗定时器,2个数据指针,三个16位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52可降至0Hz静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU M内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。(2)4*4键盘 4.主要电路说明(1)模拟放大电路部分按照方案的对比论证,我们选择了反馈电阻可调的共模输入并联电路和一个放大倍数尾1或5的差模输入运放电路,实际图如下: (2)程控放大电路部分单片机最小系统由AT89S52系列单片机和一个4*4键盘构成,通过键盘输入键值的到设置的放大倍数,再将D/A的输出送入一个差分输入的运放电路,最终的到放大设置倍数的信号。 三:软件程序部分程序流程图 四:结论整个系统本着简单可靠的原则完成了本设计任务。可根据输入电压的范围选择1至100中适当的放大倍数进行放大,且输出电压不小于2V。测试后可以得出,该测量放大器的非线性误差小于0.5%。 参考文献[1]电子技术基础(模拟部分), 康华光,北京:高等教育出版社,2006.1[2]综合电子设计与实践,王振红/张常年,北京:清华大学出版社,2008.9本文由(www.wenku1.com)首发,转载请保留网址和出处!
免费下载文档:您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
90dB大动态范围可控AGC系统及其在雷达远程测量平台中的应用.pdf 79页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
你可能关注的文档:
··········
上海交通大学硕士学位论文
90dB大动态范围可控AGC系统
及其在雷达远程测量平台中的应用
AGC系统作为基础组件广泛应用于雷达/通讯接收机、智能天线、
数码相机、助听器等多个方面。本文对现有AGC技术及发展历程进行
了系统总结,针对AGC系统的主要参数:动态范围、回路建立时间进
行了详尽分析。在给出多阶模拟AGC动态范围极值及影响因素基础上,
提出了一种扩大系统动态范围的方法:引入前级放大技术以扩大采样
数据动态范围,进而提高系统动态范围。针对模拟AGC参考电平调整
困难及差分比较运算的不足,引入数字电平比对技术,实现输出增益
动态可控。由此设计完成了一款基于两阶大动态范围可控AGC系统。
实验表明,本文所研系统在 3.52dBm
0.05 输出增益条件下,输
入信号动态范围达90dB;输出增益浮动范围扩大前提下
1.5 ,系统可充分挖掘可变增益放大器的潜力,使输入信号
动态范围达100dB。与既有系统比较,获得了动态范围15~30dB的扩大,
充分证明了采样前级放大技术及数字对比技术的混合使用能有效扩
大AGC系统动态范围。
关键词:自动增益控制,大动态范围,数模混合技术,前级放大
上海交通大学硕士学位论文
90dB WIDE DYNAMIC RANGE CONTROLLABLE AGC
SYSTEM DESIGN AND ITS APPLICATION IN RADAR REMOTE
MEASUREMENT PLATFORM
components
communication receivers, smart antennas, digital cameras, many aspects,
such as hearing aids. The article summarized the existing technology of
parameters
establishment
time. According
multi-stage
extreme range and impact factors, we proposed a method expanding the
dynamic range.
Pre-amplification
technology
adjustment
difficulties
differential
comparis on,
digital-level
techniques is introduced
to achieve dynamic output gain control.
controllable
Experiments
正在加载中,请稍后...【FPGA开源教程连载】第十七章 线性序列机与串行接口DAC驱动设计 - 芯航线跑堂的日志 -
电子工程世界-论坛
请完成以下验证码
【FPGA开源教程连载】第十七章 线性序列机与串行接口DAC驱动设计
已有 727 次阅读 23:15
|个人分类:
线性序列机与串行接口DAC驱动设计
实验目标: 1.学会使用线性序列机的思想2.以此为基础设计仿真DAC芯片的时序逻辑并通过ISSP验证实验平台:芯航线FPGA学习套件主板,芯航线串行AD/DA模块实验现象:在QuartusII软件中,使用In system sources andprobes editor工具,输入希望输出的电压值,则芯航线开发板上,FPGA控制TLC5620芯片输出对应的电压值。然后结合第16课讲ROM的内容,将ROM的输出挂接到TLC5620的数据输入端,以输出正弦波,并使用示波器观察输出波形实验原理:1.TLC5620型DAC芯片概述本实验使用的芯航线串行AD/DA模块上的DAC芯片为TLC5620,其芯片概述如下:&&TLC5620C是一个具有4个独立8位电压输出型DAC的数模转换器&&单电源5V供电&&采用串行接口时序&&具备4个高阻抗参考电压输入端口(对应四个DAC输出通道)&&可编程的电压倍增模式
TLC5620是一个内部具备4个独立8位电压输出型数字——模拟转换器,每个DAC转换器都拥有一个带缓冲(高输入阻抗)的参考电压输入端口。每个DAC可以输出一倍或者两倍的参考电压与GND之间的电压值。TLC5620使用CMOS电平兼容的三线制串行总线与各种流行的处理器进行连接,TLC5620接收控制器发送过来的11位的命令字,这11位的控制字被分为3个部分,包括8位的数据位,2位的DAC选择位,1位的电压倍增控制位。每个DAC的寄存器都采用双缓冲结构,这样,可以实现首先通过数据总线给所有的DAC传输需要更新的数据,然后通过控制信号LDAC将所有DAC的电压同步更新到输出上。图17-1TLC5620芯片内部框图2. DAC芯片引脚说明& && & TLC5620芯片引脚及功能描述如表17-1所示。
表17-1芯片引脚功能描述3.TLC5620型DAC芯片详细介绍TLC5620是由四个电阻串式DAC组成的,每个DAC的核心是一个拥有256个节点(抽头)的电阻,对应了256中不同的组合,如下表所示,每个电阻串的一段连接到GND,另一端来自参考输入缓存的输出。每个DAC的输出都接有一个可配置增益的输出放大器,该放大器的增益可以配置为1或者2。当芯片上电时,DAC的值全部被复位到0,。每个DAC通道的输出可由下列公式计算得出:
当串行控制字中的数据部分为0~255,RNG bit为0或者1时,与输出电压对应关系如表17-2所示。表17-2输出电压与控制字对应关系4.TLC 5620型DAC接口时序控制器对TLC5620的单个DAC设置包括两个主要操作发送控制和数字控制DAC将接收到的数据值更新到DAC输出上
对于数据的传输,有连续传输(11个连续的时钟周期传输11位的控制字)和2个8时钟周期传输方式(使用两次8时钟周期的传输来实现11位数据的传输)。对于数据的更新,则使用LOAD和LDAC配合以实现。当LOAD为高电平时,在每个CLK的下降沿,数据被移入DAC的移位寄存器中。当所有的数据位被移入完成后,LOAD被拉低,以将数据从串行输入移位寄存器中转入选中的DAC中,如图17-2所示。当LDAC为低电平时,选中的DAC通道的输出电压在LOAD变为低电平时更新。图17-2LOAD模式数据传输时序图当LDAC在串行数据传输过程中为高电平时,新的数据值被存在器件中,该值可以在稍后将LDAC拉低时传入DAC的输出,如下图17-3所示。串行总线上传输数据时,高位在前,低位在后。图17-3LOAD与LDAC模式数据传输时序图使用两个8时钟周期的传输数据(主要针对8位定长的SPI控制器)的时序图如图17-4所示。图17-4两个8时钟周期传输时序图在传输时序中,标为A0和A1的两位指定了需要设置输出的DAC,具体A0和A1值与对应被选择更新的DAC如下表所示:
表17-3A0A1与DAC端口对应关系4.TLC5620串行数字接口的关键时序参数针对TLC5620的数字接口,其操作时序如表17-4所示。表17-4TLC5620时序参数在设计接口时序时,要保证时序严格满足表中各个时序参数,否则会导致数据传输或转换失败。5.芯航线ADDA模块TLC5620电路介绍芯航线FPGA学习套件中,提供了一个多通道串行AD/DA模块。其中,DA部分所使用的芯片就是上文介绍的TLC5620,TLC5620部分电路图如图17-5所示:图17-5TLC5620部分电路图为了给DAC的参考输入提供稳定的参考电压,这里使用专用精密参考源搭建了一个参考源电路,该电路如图17-6所示.图17-6精密参考源电路根据5V的输入电压和输出电压/电流设计电路,按照上图设置电路即可,其中R2:R3=1:2.7得到的输出最接近3.3V(例如R1取值为1k,R2取值为2.7k)
Vout = (R2+R3)*2.5/R3 = 3.7*2.5/2.7= 3.42V为了保证TL4311mA的工作电流,R1需要满足1mA& (Vcc-Vout)/R1& 500mA这里设置R1为150欧姆,则(Vcc-Vout)/R1= 10.5mA,满足TL431工作要求。因此,当确定一个输出电压时,就可以得到对应的RNG和CODE了,如下式所示:然后,在我们控制DAC的输出时,只需根据所需输出的电压计算得到CODE和RNG,然后将该值通过串行接口传入TLC5620,再发出一个更新控制信号(LOAD + LDAC),就能实现控制TLC5620输出想要的电压了。6.线性序列机设计思想与TLC5620接口时序设计& && & 这里以使用LOAD信号控制DAC更新的时序图(图17-2)来分析TLC5620的数字接口时序。图17-2LOAD模式数据传输时序图从图中我们可以看到,该接口的时序是一个很有规律的序列,CLK信号什么时候该由低变高,什么时候由高变低。DATA信号什么时候该传输哪一位数据,LOAD信号什么时候拉低,什么时候拉高,都是可以根据时序参数唯一确定下来的。因此我们可以将该数据波形放到以时间为横轴的一个二维坐标系中,纵轴就是每个信号对应的状态:图17-7时序图数字化因此我们只需要在逻辑中使用一个计数器来计数,然后每个计数值时就相当于在t轴上对应了一个相应的时间点,那么在这个时间点上,各个信号需要进行什么操作,直接赋值即可。针对TLC5620的接口时序,在FPGA中,我们以时钟周期为20ns进行设计,既时间最小增量为20ns,由于所有时序参数中最小单位为50ns,而20ns的时钟周期无法通过计数得到50ns,因此这里都使用60ns来代替50ns,使用260ns来代替250ns。从而可以通过每个信号变化时的时间得到对应计数器的值,这里CLK周期最小为1000ns,这里定为1200ns。这样根据图17-3即可得出每个时间点对应信号操作详表。表17-5时间点对应信号操作表线性序列机计数器的控制逻辑判断依据,如表17-6所示。表17-6计数器功能判断条件以上就是通过线性序列机设计接口时序的一个典型案例,可以看到,线性序列机可以大大简化我们的设计思路。线性序列机的设计思想就是使用一个计数器不断计数,由于每个计数值都会对应一个时间,那么当该时间符合我们需要操作信号的时刻时,就对该信号进行操作。这样,就能够轻松的设计出各种时序接口了。6.AD/DA模块与FPGA开发板连接实验步骤得到了表17-5与17-6,我们就可以进行TLC5620的接口逻辑的编写了。设计TLC5620接口逻辑的模块如图17-8所示:图17-8模块接口示意图其中,每个端口的功能描述如表17-7所示。
表17-7端口功能描述& && & 新建一个以名为TLC5620_CTRL的工程保存在prj下,并新建TLC5620_CTRL.v保存至rtl文件夹下。从图17-8以及表17-7就得到了端口列表:
& && & 从实验原理分析得出线性序列机最主要的即为计数器,并且此设计计数器最大值为820,因此定义一个位宽为10的计数器即可,且由表17-6可以得出:
& && &这样只需根据表17-5分别描述出每个计数值需要操作的端口即可。在复位时需要将所有被操作信号置0,否则会出现不定态。
& && & 将此文件设置为顶层,新建TLC5620_CTRL_tb.v文件并输入以下内容再次进行分析和综合直至没有错误以及警告,保存到testbench文件夹下。这里除了实现例化需要仿真的文件以及时钟创建,还实现了控制输入8’haa至通道A,等待传输结束后再次才输入8’h55至通道A,等待这次传输结束后等待20个时钟周期停止仿真。
& && & 编译无误后设置好仿真脚本后进行功能仿真,可以看到如图17-9所示的波形文件。生成的TLC5620_CLK周期为1200ns,在使能第一次控制字输入{2'd0,1'b0,8'haa},TLC5620_DATA上数据为00_0_,符合预期。在一个控制字传输结束后,TLC5620_LOAD维持2600ns的低电平然后经过400ns后Updatadone输出一个系统时钟周期的高电平,符合预期设计。这样可自行分析第二次数据传输过程。图17-9TLC5620功能仿真波形为了使用ISSP在线调试再次验证TLC5620模块设计的正确性,创建一个ISSPIP核,主要配置如图17-10所示,详细步骤可参考第十讲相关内容。图17-10ISSP主要参数& && && &加入工程后新建顶层文件TCL5620_TOP.v,并对ISSP以及设计好的TLC5620_CTRL进行例化。这里为了方便操作,将UpdateReq一直置高。
& && && &分配引脚后全编译无误后下载工程到开发板中,并启动ISSP。可先把数据格式切换为hex,先分别输入080、0ff查看DACA通道电压是否正常。其他通道及控制字可自行测试。& && & 补图:电压表
& && & 这样就完成了一个DAC模块的设计与仿真验证,基于本讲以及14讲即可实现信号发生器,详细内容可以参考芯航线FPGA进阶课程值DDS4。
小梅哥芯航线电子工作室
关于学习资料,小梅哥系列所有能够开放的资料和更新(包括视频教程,程序代码,教程文档,工具软件,开发板资料)都会发布在我的云分享。(记得订阅)链接:
作者的其他最新日志
评论 ( 个评论)
EEWORLD 官方微信
Powered by}

我要回帖

更多关于 低压差线性稳压芯片 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信