最近网上传肉松是棉花做的吗真的吗

您所在的位置: &
I/O口引脚(32个)
I/O口引脚(32个)
电子工业出版社
《基于Multisim 10的51单片机仿真实战教程--使用汇编和C语言》本书主要讲解最新的EDA设计软件NI Multisim 10在51单片机设计中的应用,包括单片机介绍、如何使用仿真平台、具体仿真步骤及过程、汇编及C语言的要点、基本的编程方法、各种接口电路的使用等内容,并给出了大量的仿真实例。本节为大家介绍I/O口引脚(32个)。
2.3.2.3& I/O口引脚(32个)
1.P0口:P0.0~ P0.7(32~39脚),双向8位三态I/O口。在扩展外部存储器及I/O接口芯片时,P0口作为地址总线(低8位)及数据总线的分时复用端口。也可作为通用的I/O口使用,但需加上拉电阻。当作为普通的I/O输入时,应先向端口的输出锁存器写入1。P0口可驱动8个LS型TTL负载。
2.P1口:P1.0~ P1.7(1~8脚),8位准双向I/O口。
3.P2口:P2.0~ P2.7(21~28脚),8位准双向I/O口,与地址总线(高8位)复用。
4.P3口:P3.0~ P3.7(10~17脚),8位准双向I/O口,双功能复用口,可提供第二功能,定义如表2-1所示。
注意:准双向口与双向三态口的差别。
(1)当3个准双向I/O口作输入口使用时,要向该口先写"1"。
(2)准双向I/O口无高阻 "浮空"状态。
【责任编辑: TEL:(010)】&&&&&&
关于&&&&&&的更多文章
很久很久以前,冬天爱上了夏天,可是他们始终不能相见,后来,他
本书描述了黑客用默默无闻的行动为数字世界照亮了一条道路的故事。
Linux是当今的主要Internet服务器平台。系统管理员和W
使用 iOS 6游戏开发入门经典(第2版) ,学习为iPhone 5
本书是一本系统讲解Android应用开发安全的书籍。它首
本书深入浅出地阐述了计算机网络技术的基本原理,介绍了当前常用的先进网络技术以及网络的实际应用知识。全书主要内容包括计算机
51CTO旗下网站STM8 通用输入输出口(GPIO) STM8应用笔记
STM8 通用输入输出口(GPIO)
以下资料由微雪电子整理并发布,未经许可不得转载,否则追究相应责任!
STM8的通用输入/输出口用于芯片和外部进行数据传输。一个IO端口可以包括多达8个引脚,每个引脚可以被独立编程作为数字输入或者数字输出口。另外部分口还可能会有如模拟输入,外部中断,片上外设的输入/输出等复用功能。但是在同一时刻仅有一个复用功能可以映射到引脚上。
复用功能的映射是通过选项字节控制的。请参考数据手册关于选项字节的描述。
每个端口都分配有一个输出数据寄存器,一个输入引脚寄存器,一个数据方向寄存器,一个选择寄存器,和一个配置寄存器。一个I/O口工作在输入还是输出是取决于该口的数据方向寄存器的状态。
GPIO主要功能
可选择的输入模式:浮动输入和带上拉输入
可选择的输出模式:推挽式输出和开漏输出
数据输入和输出采用独立的寄存器
外部中断可以单独使能和关闭
输出摆率控制用以减少EMC噪声
片上外设的I/O功能复用
当作为模拟输入时可以关闭输入施密特触发器来降低功耗
在数据输出锁存时支持读-修改-写
输入兼容5V电压
I/O口工作电压范围为1.6 V到VDDIOmax
I/O的配置和使用
每一个端口都有一个输出数据寄存器(ODR),一个引脚输入寄存器(IDR)和一个数据方向寄存器(DDR)总是同相关的。
控制寄存器1(CR1)和控制寄存器2(CR2)用于对输入/输出进行配置。任何一个I/O引脚可以通过对DDR,ODR,CR1和CR2寄存器的相应位进行编程来配置。
寄存器中的位n对应于口的引脚n。各种不同配置总结如表18。
(表18:IO口配置表)
注意:连接VDD的二极管在实际开漏极状态引脚是无效的,在引脚和VOL之间的局部保护设备重要性是有效的。
   没有使用的I/O引脚必须连接到一个固定的电平值。或者是上拉或者是下拉。
将DDRx位清零就选择了输入模式。在该模式下读IDR寄存器的位将返回对应I/O引脚上的电平值。
如表18所示,理论上STM8可以通过软件配置得到四种不同的输入模式:悬浮不带中断输入,悬浮带中断输入,上拉不带中断输入和上拉带中断输入。但是在实际情况下不是所有的口都具有外部中断能力和上拉,用户应参考数据手册中关于每个引脚的实际硬件性能描述来了解更多细节。
将DDRx位置1就选择了输出模式。在该模式下向ODR寄存器的位写入数据将会通过锁存器输出对应数字值到I/O口。读IDR的位将会返回相应的I/O引脚电平值。通过软件配置CR1,CR2寄存器可以得到不同的输出模式:上拉输出,开漏输出。
低功耗模式
表19低功耗模式对STM8S的GPIO口的影响
等待(Wait)
对I/O口无影响。外部中断可以使MCU退出等待(Wait)模式
停机(Halt)
对I/O口无影响。外部中断可以使MCU从停机(Halt)模式唤醒
注意:如果PA1/PA2被用来连接外部谐振器,为了确保在HALT模式下有最低功耗必须配置PA1和PA2为带上拉输入。
STM8 通用输入输出口(GPIO)3974人阅读
8051单片机I/O引脚工作原理
一、P0端口的结构及工作原理
P0端口8位中的一位结构图见下图:
&&由上图可见,P0端口由锁存器、输入缓冲器、切换开关、一个与非门、一个与门及场效应管驱动电路构成。再看图的右边,标号为P0.X引脚的图标,也就是说P0.X引脚可以是P0.0到P0.7的任何一位,即在P0口有8个与上图相同的电路组成。
下面,我们先就组成P0口的每个单元部份跟大家介绍一下:
先看输入缓冲器:在P0口中,有两个三态的缓冲器,在学数字电路时,我们已知道,三态门有三个状态,即在其的输出端可以是高电平、低电平,同时还有一种就是高阻状态(或称为禁止状态),大家看上图,上面一个是读锁存器的缓冲器,也就是说,要读取D锁存器输出端Q的数据,那就得使读锁存器的这个缓冲器的三态控制端(上图中标号为‘读锁存器’端)有效。下面一个是读引脚的缓冲器,要读取P0.X引脚上的数据,也要使标号为‘读引脚’的这个三态缓冲器的控制端有效,引脚上的数据才会传输到我们单片机的内部数据总线上。
D锁存器:构成一个锁存器,通常要用一个时序电路,时序的单元电路在学数字电路时我们已知道,一个触发器可以保存一位的二进制数(即具有保持功能),在51单片机的32根I/O口线中都是用一个D触发器来构成锁存器的。大家看上图中的D锁存器,D端是数据输入端,CP是控制端(也就是时序控制信号输入端),Q是输出端,Q非是反向输出端。
&&&&对于D触发器来讲,当D输入端有一个输入信号,如果这时控制端CP没有信号(也就是时序脉冲没有到来),这时输入端D的数据是无法传输到输出端Q及反向输出端Q非的。如果时序控制端CP的时序脉冲一旦到了,这时D端输入的数据就会传输到Q及Q非端。数据传送过来后,当CP时序控制端的时序信号消失了,这时,输出端还会保持着上次输入端D的数据(即把上次的数据锁存起来了)。如果下一个时序控制脉冲信号来了,这时D端的数据才再次传送到Q端,从而改变Q端的状态。
多路开关:在51单片机中,当内部的存储器够用(也就是不需要外扩展存储器时,这里讲的存储器包括数据存储器及程序存储器)时,P0口可以作为通用的输入输出端口(即I/O)使用,对于8031(内部没有ROM)的单片机或者编写的程序超过了单片机内部的存储器容量,需要外扩存储器时,P0口就作为‘地址/数据’总线使用。那么这个多路选择开关就是用于选择是做为普通I/O口使用还是作为‘数据/地址’总线使用的选择开关了。大家看上图,当多路开关与下面接通时,P0口是作为普通的I/O口使用的,当多路开关是与上面接通时,P0口是作为‘地址/数据’总线使用的。
输出驱动部份:从上图中我们已看出,P0口的输出是由两个MOS管组成的推拉式结构,也就是说,这两个MOS管一次只能导通一个,当V1导通时,V2就截止,当V2导通时,V1截止。
与门、与非门:这两个单元电路的逻辑原理我们在第四课数字及常用逻辑电路时已做过介绍,不明白的同学请回到第四节去看看。
前面我们已将P0口的各单元部件进行了一个详细的讲解,下面我们就来研究一下P0口做为I/O口及地址/数据总线使用时的具体工作过程。
1、作为I/O端口使用时的工作原理
&&&&P0口作为I/O端口使用时,多路开关的控制信号为0(低电平),看上图中的线线部份,多路开关的控制信号同时与与门的一个输入端是相接的,我们知道与门的逻辑特点是“全1出1,有0出0”那么控制信号是0的话,这时与门输出的也是一个0(低电平),与让的输出是0,V1管就截止,在多路控制开关的控制信号是0(低电平)时,多路开关是与锁存器的Q非端相接的(即P0口作为I/O口线使用)。
&&&&P0口用作I/O口线,其由数据总线向引脚输出(即输出状态Output)的工作过程:当写锁存器信号CP&&&&有效,数据总线的信号→锁存器的输入端D→锁存器的反向输出Q非端→多路开关→V2管的栅极→V2的漏极到输出端P0.X。前面我们已讲了,当多路开关的控制信号为低电平0时,与门输出为低电平,V1管是截止的,所以作为输出口时,P0是漏极开路输出,类似于OC门,当驱动上接电流负载时,需要外接上拉电阻。
&&&&下图就是由内部数据总线向P0口输出数据的流程图(红色箭头)。
P0口用作I/O口线,其由引脚向内部数据总线输入(即输入状态Input)的工作过程:
&&&&数据输入时(读P0口)有两种情况
&&&&读芯片引脚上的数据,读引脚数时,读引脚缓冲器打开(即三态缓冲器的控制端要有效),通过内部数据总线输入,请看下图(红色简头)。&
2、读锁存器
通过打开读锁存器三态缓冲器读取锁存器输出端Q的状态,请看下图(红色箭头):
&&&&&&在输入状态下,从锁存器和从引脚上读来的信号一般是一致的,但也有例外。例如,当从内部总线输出低电平后,锁存器Q=0,Q非=1,场效应管T2开通,端口线呈低电平状态。此时无论端口线上外接的信号是低电乎还是高电平,从引脚读入单片机的信号都是低电平,因而不能正确地读入端口引脚上的信号。又如,当从内部总线输出高电平后,锁存器Q=1,Q非=0,场效应管T2截止。如外接引脚信号为低电平,从引脚上读入的信号就与从锁存器读入的信号不同。为此,8031单片机在对端口P0一P3的输入操作上,有如下约定:为此,8051单片机在对端口P0一P3的输入操作上,有如下约定:凡属于读-修改-写方式的指令,从锁存器读入信号,其它指令则从端口引脚线上读入信号。
读-修改-写指令的特点是,从端口输入(读)信号,在单片机内加以运算(修改)后,再输出(写)到该端口上。下面是几条读--修改-写指令的例子。
ANL&P0,#立即数
;P0→立即数P0&
;P1+1→P1&
;P3-1→P3&
&这样安排的原因在于读-修改-写指令需要得到端口原输出的状态,修改后再输出,读锁存器而不是读引脚,可以避免因外部电路的原因而使原端口的状态被读错。
&&&&&&P0端口是8031单片机的总线口,分时出现数据D7一D0、低8位地址A7一AO,以及三态,用来接口存储器、外部电路与外部设备。P0端口是使用最广泛的I/O端口。
2、作为地址/数据复用口使用时的工作原理
&&&&&&在访问外部存储器时P0口作为地址/数据复用口使用。
&&&&&&这时多路开关‘控制’信号为‘1’,‘与门’解锁,‘与门’输出信号电平由“地址/数据”线信号决定;多路开关与反相器的输出端相连,地址信号经“地址/数据”线→反相器→V2场效应管栅极→V2漏极输出。
例如:控制信号为1,地址信号为“0”时,与门输出低电平,V1管截止;反相器输出高电平,V2管导通,输出引脚的地址信号为低电平。请看下图(兰色字体为电平):
&&&&&&反之,控制信号为“1”、地址信号为“1”,“与门”输出为高电平,V1管导通;反相器输出低电平,V2管截止,输出引脚的地址信号为高电平。请看下图(兰色字体为电平):
可见,在输出“地址/数据”信息时,V1、V2管是交替导通的,负载能力很强,可以直接与外设存储器相连,无须增加总线驱动器。
&&&&& P0口又作为数据总线使用。在访问外部程序存储器时,P0口输出低8位地址信息后,将变为数据总线,以便读指令码(输入)。
&&&&&&在取指令期间,“控制”信号为“0”,V1管截止,多路开关也跟着转向锁存器反相输出端Q非;CPU自动将0FFH(,即向D锁存器写入一个高电平‘1’)写入P0口锁存器,使V2管截止,在读引脚信号控制下,通过读引脚三态门电路将指令码读到内部总线。请看下图
&& & &&如果该指令是输出数据,如MOVX&&@DPTR,A(将累加器的内容通过P0口数据总线传送到外部RAM中),则多路开关“控制”信号为‘1’,“与门”解锁,与输出地址信号的工作流程类似,数据据由“地址/数据”线→反相器→V2场效应管栅极→V2漏极输出。
&&&&&&如果该指令是输入数据(读外部数据存储器或程序存储器),如MOVX&A,@DPTR(将外部RAM某一存储单元内容通过P0口数据总线输入到累加器A中),则输入的数据仍通过读引脚三态缓冲器到内部总线,其过程类似于上图中的读取指令码流程图。
&&&&&&通过以上的分析可以看出,当P0作为地址/数据总线使用时,在读指令码或输入数据前,CPU自动向P0口锁存器写入0FFH,破坏了P0口原来的状态。因此,不能再作为通用的I/O端口。大家以后在系统设计时务必注意,即程序中不能再含有以P0口作为操作数(包含源操作数和目的操作数)的指令。
二、P1端口的结构及工作原理
&&&&&P1口的结构最简单,用途也单一,仅作为数据输入/输出端口使用。输出的信息有锁存,输入有读引脚和读锁存器之分。P1端口的一位结构见下图.
&&由图可见,P1端口与P0端口的主要差别在于,P1端口用内部上拉电阻R代替了P0端口的场效应管T1,并且输出的信息仅来自内部总线。由内部总线输出的数据经锁存器反相和场效应管反相后,锁存在端口线上,所以,P1端口是具有输出锁存的静态口。
&&&&&&由上图可见,要正确地从引脚上读入外部信息,必须先使场效应管关断,以便由外部输入的信息确定引脚的状态。为此,在作引脚读入前,必须先对该端口写入l。具有这种操作特点的输入/输出端口,称为准双向I/O口。8051单片机的P1、P2、P3都是准双向口。P0端口由于输出有三态功能,输入前,端口线已处于高阻态,无需先写入l后再作读操作。
&&&&&&P1口的结构相对简单,前面我们已详细的分析了P0口,只要大家认真的分析了P0口的工作原理,P1口我想大家都有能力去分析,这里我就不多论述了。
&&&&&&单片机复位后,各个端口已自动地被写入了1,此时,可直接作输入操作。如果在应用端口的过程中,已向P1一P3端口线输出过0,则再要输入时,必须先写1后再读引脚,才能得到正确的信息。此外,随输入指令的不同,H端口也有读锁存器与读引脚之分。
三、P2端口的结构及工作原理:
P2端口的一位结构见下图:
&PS:与门需要更换成非门,读引脚的输入端应连接到P0.X上;
& & &&由图可见,P2端口在片内既有上拉电阻,又有切换开关MUX,所以P2端口在功能上兼有P0端口和P1端口的特点。这主要表现在输出功能上,当切换开关向下接通时,从内部总线输出的一位数据经反相器和场效应管反相后,输出在端口引脚线上;当多路开关向上时,输出的一位地址信号也经反相器和场效应管反相后,输出在端口引脚线上。
&&&&对于8031单片机必须外接程序存储器才能构成应用电路(或者我们的应用电路扩展了外部存储器),而P2端口就是用来周期性地输出从外存中取指令的地址(高8位地址),因此,P2端口的多路开关总是在进行切换,分时地输出从内部总线来的数据和从地址信号线上来的地址。因此P2端口是动态的I/O端口。输出数据虽被锁存,但不是稳定地出现在端口线上。其实,这里输出的数据往往也是一种地址,只不过是外部RAM的高8位地址。
&&&&&&在输入功能方面,P2端口与P0和H端口相同,有读引脚和读锁存器之分,并且P2端口也是准双向口。
可见,P2端口的主要特点包括:
①不能输出静态的数据;
②自身输出外部程序存储器的高8位地址;
②执行MOVX指令时,还输出外部RAM的高位地址,故称P2端口为动态地址端口。
即然P2口可以作为I/O口使用,也可以作为地址总线使用,下面我们就不分析下它的两种工作状态。
1、作为I/O端口使用时的工作过程
&&&&&当没有外部程序存储器或虽然有外部数据存储器,但容易不大于256B,即不需要高8位地址时(在这种情况下,不能通过数据地址寄存器DPTR读写外部数据存储器),P2口可以I/O口使用。这时,“控制”信号为“0”,多路开关转向锁存器同相输出端Q,输出信号经内部总线→锁存器同相输出端Q→反相器→V2管栅极→V2管9漏极输出。
&&&由于V2漏极带有上拉电阻,可以提供一定的上拉电流,负载能力约为8个TTL与非门;作为输出口前,同样需要向锁存器写入“1”,使反相器输出低电平,V2管截止,即引脚悬空时为高电平,防止引脚被钳位在低电平。读引脚有效后,输入信息经读引脚三态门电路到内部数据总线。
2、作为地址总线使用时的工作过程
&&&&&&P2口作为地址总线时,“控制”信号为‘1’,多路开关车向地址线(即向上接通),地址信息经反相器→V2管栅极→漏极输出。由于P2口输出高8位地址,与P0口不同,无须分时使用,因此P2口上的地址信息(程序存储器上的A15~A8)功数据地址寄存器高8位DPH保存时间长,无须锁存。
四、P3端口的结构及工作原理
P3口是一个多功能口,它除了可以作为I/O口外,还具有第二功能,P3端口的一位结构见下图。
&&&由上图可见,P3端口和Pl端口的结构相似,区别仅在于P3端口的各端口线有两种功能选择。当处于第一功能时,第二输出功能线为1,此时,内部总线信号经锁存器和场效应管输入/输出,其作用与P1端口作用相同,也是静态准双向I/O端口。当处于第二功能时,锁存器输出1,通过第二输出功能线输出特定的内含信号,在输入方面,即可以通过缓冲器读入引脚信号,还可以通过替代输入功能读入片内的特定第二功能信号。由于输出信号锁存并且有双重功能,故P3端口为静态双功能端口。
P3口的特殊功能(即第二功能):
串行数据接收&
串行数据发送
外部中断0申请&
外部中断1申请
定时器/计数器0计数输入&
定时器/计数器1计数输入&
外部RAM写选通&
外部RAM读选通&
使P3端品各线处于第二功能的条件是:
1、串行I/O处于运行状态(RXD,TXD);
2、打开了处部中断(INT0,INT1);
3、定时器/计数器处于外部计数状态(T0,T1)
4、执行读写外部RAM的指令(RD,WR)
&&&&在应用中,如不设定P3端口各位的第二功能(WR,RD信叼的产生不用设置),则P3端口线自动处于第一功能状态,也就是静态I/O端口的工作状态。在更多的场合是根据应用的需要,把几条端口线设置为第二功能,而另外几条端口线处于第一功能运行状态。在这种情况下,不宜对P3端口作字节操作,需采用位操作的形式。
端口的负载能力和输入/输出操作:
&&&&&&P0端口能驱动8个LSTTL负载。如需增加负载能力,可在P0总线上增加总线驱动器。P1,P2,P3端口各能驱动4个LSTTL负载。
&&&&&&前已述及,由于P0-P3端口已映射成特殊功能寄存器中的P0一P3端口寄存器,所以对这些端口寄存器的读/写就实现了信息从相应端口的输入/输出。例如:
MOV&A,&P1&;把Pl端口线上的信息输入到A
MoV&P1,&A&;把A的内容由P1端口输出
MOV&P3,&#0FFH&;使P3端口线各位置l&
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:73589次
排名:千里之外
原创:11篇
转载:26篇
评论:17条
(1)(2)(1)(1)(1)(2)(2)(1)(2)(1)(2)(1)(1)(1)(2)(1)(2)(2)(1)(2)(2)(2)(2)(1)(1)AVR单片机i/o(输入/输出)端口详解
单片机&嵌入式
单片机应用
嵌入式操作系统
学习工具&教程
学习和开发单片机的必备工具
(有问必答)
(带你轻松入门)
电子元件&电路模块
当前位置: >>
>> 浏览文章
AVR单片机i/o(输入/输出)端口详解
&通过前面示例的讲解,已基本知道了单片机I/O端口的用法。为了更好、更深入地运用好I/O端口,下面再来详细讨论一下AVR单片机ATMega16的端口结构。
输入/输出端口(I/O端口)是单片机所能依赖进行控制的唯一通道,如果把单片机内核比作人的大脑,那I/O端口就相当于人的五官和四肢,负责着信息的获取和动作的执行,如果没有I/O端口单片机本身就变得毫无意义,因此很有必要来详细了解它们的内部结构。ATMega16的端口为具有可选上拉电阻的双向I/O端口,下面是其中某一位I/O口的内部结构图(来自于Datasheet)。
在上图中,Pxn就是这一位的输入/输出端口,也就是单片机的某个外部引脚。它通过PORTxn寄存器和数据总线(DATA BUS)相连。前面示例中对PORTxn的赋值其实就是通过数据总线来写这个寄存器实现的。在图中还可以看到,在Pxn和PORTxn之间实际上还串有一个门控位,如果要让PORTxn的结果输出至Pxn,那这个门控位必须得打开,而该门控位的受控信号来自于DDxn(DDRx中的一位)寄存器。通过数据总线对该寄存器位写1,就可打开门控位,让输出信号直接输出至引脚Pxn。这也正是为什么单片机引脚要处于输出状态就必须要给DDRx方向寄存器赋值1的原因所在。如果给DDxn赋值0,则门控位断开,引脚Pxn不能做为输出,只能做为输入。但输入信号可以取自两个地方,一个是直接从外部引脚Pxn来取(图中的下半部分),实际上是把外部引脚的信号锁存到PINxn寄存器中来读取;另一个则是从输出寄存器PORTxn来取(图中门控位控制信号为RRx的地方)。一般称&从外部引脚(PINxn)来取&为读引脚,称&从寄存器PORTxn来取&为读端口寄存器。
从图中还可以看出,在引脚Pxn的上方,有一个受控于MOS管的上拉电阻。而MOS管又同时受到PUD位、DDxn位和PORTxn位的共同控制。当引脚做为输入时(DDxn=0),在给PORTxn赋值1后,通过PUD就可以控制引脚是否使用上拉电阻。当PUD位为0时,上拉电阻有效,否则相反。PUD存在于寄存器SFIOR中的第2位,见下图。
下面几个图给出了以端口A为代表的三个寄存器配置的具体情况:
从图中可以看出,PORT和DDR两个寄存器为可读可写的,而PIN寄存器为只读的。对于寄存器中每一位的操作,在IAR开发环境中可以直接引用,这在第二个示例中已经阐述过了。下表给出了这几个寄存器组合配置的具体情况:
在使用I/O端口时还有几点需要说明一下:
1、作为通用数字I/O使用时,所有I/O端口都具有真正的读――修改――写的功能。
2、不论如何配置DDxn,都可以通过读取PINxn寄存器来获得引脚电平。
3、读取软件赋予的引脚电平时,需要在赋值指令和读取指令之间至少有一个时钟周期的间隔(可插入一条nop指令)。
4、如果某些引脚未被使用,建议还是给这些引脚赋予一个确定电平。
5、复位时,上拉电阻将被禁用,各引脚为高阻态。
6、稳定状态条件下每个I/O端口可以承受的拉电流或灌电流为:VCC=5V时为20mA、VCC=3V时为10mA。
7、所有端口拉电流或灌电流的总和不能超过400mA,且端口A、B、C、D各自的拉电流或灌电流总和不能超过200mA(在DIP封装方式下,端口B、C、D可达300mA)。
除了通用数字I/O功能之外,大多数端口引脚都还具有第二功能,这也就是所谓的端口复用。因为单片机的引脚毕竟是有限的,而且在大多数时候做为通用数字I/O口的引脚不一定全部用完,所以不必为单片机再实现其它功能而单独制作引脚。比如A/D(模/数)转换,就可不必再单独为单片机做输入引脚,而直接把这个功能附属在PORTA的I/O口上就可以了。这样PORTA的八个引脚就有了第二功能,即做为A/D转换的信号输入端。当然,在某个时刻只能使用其中的一种功能,不可能两种功能同时使用,所以才称之为复用。这里要注意,所谓的第二功能只是一种说法,有些单片机的引脚复用的很厉害,可以实现两种以上的功能。
要实现引脚的第二功能,其端口内部结构较为复杂,这里就不再进行深入探讨了,以下仅给出ATMega16单片机引脚复用的第二功能。
要实现端口的第二功能,当然还必须得有相关的寄存器来进行选择控制。不同的第二功能所配置的寄存器不同,配置方式也不一样,因此要根据实际情况来确定。引脚第二功能的具体使用会在后续的相关讨论中进行详细讲述
【】【】【】【】
上一篇:下一篇:
CopyRight @
单片机教程网
, All Rights Reserved 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
单片机I O 口介绍
下载积分:980
内容提示:单片机I O 口介绍
文档格式:PPT|
浏览次数:109|
上传日期: 16:20:57|
文档星级:
全文阅读已结束,如果下载本文需要使用
 980 积分
下载此文档
该用户还上传了这些文档
单片机I O 口介绍
官方公共微信}

我要回帖

更多关于 肉松是棉花做的 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信