有没有modelsim仿真出现红线大神帮忙看看哪里出问题了

502 Bad Gateway
502 Bad Gateway
nginx/1.8.1查看: 2059|回复: 6
安装modelsim出问题了,请大家帮忙看看怎么回事
安装成功后,环境变量也设好了。运行时出现如下问题,到底是怎么回事,有什么解决办法啊
大家看看这个问题到底怎么解决啊,非常感谢
本帖子中包含更多资源
才可以下载或查看,没有帐号?
什么操作系统?
没人知道这是怎么回事吗?
难道没人遇到过这样的问题
& && && && &&&没遇到过
哈哈。我遇到的问题千千万,你这个我还真没有遇到过呢。
只要你破解了,再把环境变量设定了,就不会有问题
当然有时候还是有问题,这个时候你就需要卸载,删文件夹,清注册表。重装一次
想想自己省了多少版税,就安心的重装吧。哈哈
Powered bymodelsim中如何看中间信号的波形
modelsim中如何看中间信号的波形
09-12-08 &匿名提问
原先能显示图像的程序,后来再次运行也得不到正确结果了,使用modelsim进行功能仿真,波形又是正确的。&/p&有没有熟悉TVP5150的呢?TVP5150有应答信号,是否说明该器件未损坏?&/p&
请登录后再发表评论!技术小站:
地点:西安
时间:11月16日 14:00 - 17:00
地点:东莞
时间:11月9日 14:00 - 17:00
地点:深圳
时间:11月8日 13:00 - 17:30
地点:深圳
时间:11月2日 14:00 - 17:00
张飞电子工程师速成全集
10天掌握PCB AD画板
教你高速PCB项目整体设计
如何设计STM32单片机系统?
物联网操作系统从写到用
讲师:华清远见
讲师:林超文
讲师:郑振宇
讲师:李述铜
移入鼠标可放大二维码
modelsim仿真没有波形或看不到波形的原因及解决方法
来源:网络整理 作者:日 10:57
[导读]  关于modelsim仿真时出现 No objects found matching ‘/*’ 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects空白的问题,导致执行 add wave al l时出现“# (vish-4014) No objects found matching ‘/top_tb/*’。“的报错选项。
  关于modelsim仿真时出现 No objects found matching &/*& 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects空白的问题,导致执行 add wave al l时出现&# (vish-4014) No objects found matching &/top_tb/*&。&的报错选项。尽管输入run后,可以运行仿真,但却无法察看wave窗口的波形。
  这是优化的问题。
  解决方法:
  1. 点击工具栏中的&simulate&按钮,调出start smulaon窗口,把窗口中最下边opmizaon栏中的Enable opmization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。
  Quatus ii联合modelsim仿真无法产生波形或波形一直为Hiz状态原因分析
  最近用用modelsim仿真Quatus写的testbench,遇到了波形无法产生的问题,一直卡在这里很久都没找到原因,经过一番分析和各种乱试,终于找到原因了。
  在设置编译的test bench的时候,一定要把顶层模块设置为xx_vlg_tst(),就是启动test bench template writer的时候,软件给你自动产生的那个test bench的模块名。因为在仿真的时候,这个模块才是真正的顶层模块,是它实例化测试模块,并给与测试模块激励信号的。设置方法如下:
  点击主界面菜单栏的Assignment&&》Settings,出现Settings对话框,然后在Category栏选择EDA Tool Settings&&》Simulation,出现以下界面,
  点击3右边的Test Benches按键,出现Test Benches对话框,然后点击该对话框右边的New按键,出现了Edit Test Bench Settings对话框,如下,
  在Test Bench name一栏中,输入test bench文件的名字,就是在simulation/modelsim文件夹中那个后缀为.vt的文件的名称,而Top level module in test bench一栏则要输入test bench文件中那个模块的名称,这个是自动产生的,名字都是xx_vlg_tst,xx为test bench文件的名称,记住,这里一定要设置好顶层模块是xx_vlg_tst,因为默认情况下它是和test bench name是一样的,如果这样设置,是无法产生波形的。
  之前一直用ise联合modelsim仿真,ise里面就没有这些繁琐的步骤,在写test bench的时候,软件自动把test bench设置为了顶层模块,如图:
  仿真的时候只需要点击vtf_xx.v文件,然后双击Simulate Behavioral Model就会调用modelsim,仿真test bench文件了。
关注电子发烧友微信
有趣有料的资讯及技术干货
下载发烧友APP
打造属于您的人脉电子圈
关注发烧友课堂
锁定最新课程活动及技术直播
声明:电子发烧友网转载作品均尽可能注明出处,该作品所有人的一切权利均不因本站而转移。
作者如不同意转载,既请通知本站予以删除或改正。转载的作品可能在标题或内容上或许有所改动。
modelsim相关文章
modelsim相关下载
仿真相关文章
仿真相关下载
论坛精华干货
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-10:35 提问
modelsim时序仿真,如何查看内部信号的信息?
在功能仿真中,可以很容易的将其它module中的寄存器信号添加到wave窗口中,并查看信号变化情况;
在时序仿真中,
1、查看testbench中端口信号时,选中测试模块tbfifo,object中会正常显示如图一,wave中波形正常
2、但是,要查看内部模块中的寄存器信号时。例如,我想查看waddr的情况,在sim中找到的如图二,同时在object中显示的如图三。
该怎么做,才能使2中情况像1中那样?
按赞数排序
准确详细的回答,更有利于被提问者采纳,从而获得C币。复制、灌水、广告等回答会被删除,是时候展现真正的技术了!
其他相关推荐}

我要回帖

更多关于 modelsim 导出波形 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信