quartus ii 12.0 怎样进行quartus 13 波形仿真真

this.offsetHeight ? (documentElement.clientHeight-this.offsetHeight)/2 : 0));">
IC厂商技术专区
专业技术交流专区
综合交流专区
今日&0&人签到
后即可签到
需要确认注册邮箱后才能开通博客,
>>>大神救命呀,急!quartus II 12.1中如何建立矢量波形文件?
大家在做什么...
发表了主题:
回复了帖子:
回复了帖子:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
回复了帖子:
回复了帖子:
回复了帖子:
回复了帖子:
回复了帖子:
回复了帖子:
发表了主题:
回复了帖子:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
回复了帖子:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
回复了帖子:
发表了主题:
回复了帖子:
发表了主题:
回复了帖子:
回复了帖子:
赞了帖子:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
发表了主题:
回复了帖子:
回复了帖子:
回复了帖子:
赞了帖子:
发表了主题:
大神救命呀,急!quartus II 12.1中如何建立矢量波形文件?
3年会员勋章目前未领取。领取条件:&凡是注册时间三年以上的活跃用户即可领取该勋章。
网站总积分:
需要确认注册邮箱后才能下载,
后可下载附件
如题?我看很多教程,都是在file---------New 然后选择other files里面就有Vector Waveform File&选项可以选择,我是初学者,以前没用过,刚去altera网站下载的这个quartus II 12.1,找了半天都没有这个选项,请问这个选项在哪里去了?没有的话请问如何进行仿真的?
打开微信“扫一扫”,打开网页后点击屏幕右上角分享按钮
1.扫描左侧二维码
2.点击右上角的分享按钮
3.选择分享给朋友
回复主题:大神救命呀,急!quartus II 12.1中如何建立矢量波形文件?
6年会员勋章目前已领取。领取条件:&凡是注册时间六年以上的活跃用户即可领取该勋章。
皇家级工程师
网站总积分:
需要确认注册邮箱后才能下载,
后可下载附件
现在矢量波形文件仿真用的较少了吧,建议楼主用HDL描述
回复主题:大神救命呀,急!quartus II 12.1中如何建立矢量波形文件?
4年会员勋章目前未领取。领取条件:&凡是注册时间四年以上的活跃用户即可领取该勋章。
网站总积分:
需要确认注册邮箱后才能下载,
后可下载附件
quartus II 从9.1版本开始就没有自带的 波形仿真了 ! 你可以用ModelSim进行仿真的!
--- 现有 2 个回复,共 1 页 ---
上一帖&&&&
&&&&下一帖&&
江西省吉安市的游客&&&&&&
(您将以游客身份发表,请 | )
标题还可以输入80字
你还可以输入30000字
每月定期向您递送电子元器件规格书网中的最新元器件数据手册下载、库存信息及技术参数更新。
2016年博客大赛分四个季度赛,每三月一次。区别以往的是,今年将不再设定每季主题类别,只要你够料,任意主题的博文都可参与当季大赛。我们将重点关注能为网友带来价值的原创、翻译博文以及连载专栏,博主不仅可以获得月度奖励、季度奖励,还可荣膺 "EDN好导师"的特别奖。
EDN-深圳 8366025
菜农Cortex-M0技术交流
EDN-哈尔滨
EDN-模拟电路 2837145
促进EDN网友交流合作,方便EDN网友学习沟通
2016 UBM Asia Ltd. -- Use of this website is subject to its terms of use.
京ICP备号-4 |
京公网安备37 |在Quartus ii 13.1中进行波形仿真时出现错误,求大神解答 - FPGA|CPLD|ASIC论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
在Quartus ii 13.1中进行波形仿真时出现错误,求大神解答
20:06:10  
在Quartus ii 13.1中进行波形仿真时出现错误,
# ** Error: parity.vwf.vt(30): near &,&: syntax error, unexpected ','
# ** Error: C:/altera/13.1/modelsim_ase/win32aloem/vlog failed.# Executing ONERROR command at macro ./parity.do line 4
但是这个程序编译时并没有错误,其他程序仿真时也不出现这种错误,求大神解答这是怎么回事?如何解决?
站长推荐 /4
Powered by[转载]在quartus&ii&11.0环境下,调用modelsim仿真
条理清晰,可惜我还没安装modelsim方法1:在quartus ii
11.0环境下,编辑生成并修改quartus生成的test
bench文件,采用手动设置激励形成波形(有很多缺陷)。
具体步骤:
1.新建工程
在test目录下创建工程fulladder然后直接
2.编写VHDL:
New一个VHDL FILE,输入代码:
use ieee.std_logic_1164.
ENTITY fulladder IS PORT( a,b,cin : IN STD_LOGIC;
&s,cout: OUT STD_LOGIC);
ARCHITECTURE fulladder OF fulladder IS
BEGIN s&= a XOR b XOR
cout &=(a AND b) OR (a AND cin) OR (b AND
保存在test目录下,文件名为默认fulladder。
3. 在Quartes&II&11.0界面菜单栏中选择&Tools--&options选项卡中选中EDA&tool&options,在该选项
卡中下面的ModelSim-Altera一项指
定安装路径为(如d:/Altera/11.0/modelsim_ae/win32aloem)
4.在Quartes&II&11.0界面菜单栏中选择Assignments-&Settings。
选中该界面下EDA&Tool&settings中的Simulation一项;Tool&name中选择ModelSim-A
Format&for&output&netlist中选择开发语言的类型VHDL或其它,如图:
然后点击APPLY应用和OK。
5.设置完成后,编译工程:在Quartus&II&11.0界面菜单栏中选择菜单栏选择Processing--&start
Compilation,等待编译,无错后会在test目录下生成simulation目录,执行下一步。
6.在Quartus&II&11.0界面菜单栏中选择菜单栏Tools中的Run&EDA&Simulation&Tool--&
EDA&RTL&Simulation&进行行为级仿真,接下来就可以看到ModelSim-Altera&6.6d的运行界面
7.modelsim界面菜单栏中选择Compile--&compile...
,弹出窗口中选择
test/simulation/modelsim/fulladder.vho文件,点击compile,然后点击done.在Library窗口中可以展
开work/fulladder可以看到:
8.双击fulladder载入
9.此时,在作为输入的端口对象上点右键,选择create wave创建波形,作为输出的端口上点右键选择
add--&to wave--&slected
signals,添加到波形窗口中,然后运算即可仿真
方法2:在quartus ii
11.0环境下,调用modelsim仿真,并修改quartus生成的test
bench文件,提供激励形成波形。
具体步骤:(前5步同上)
1.新建工程
2.编写VHDL
3.&在Quartes&II&11.0界面菜单栏中选择&Tools--&options选项卡中选中EDA&tool&options,在该选项
卡中下面的ModelSim-Altera一项指
定安装路径为(如d:/Altera/11.0/modelsim_ae/win32aloem)
4.在Quartes&II&11.0界面菜单栏中选择Assignments-&Settings。
选中该界面下EDA&Tool&settings中的Simulation一项;Tool&name中选择ModelSim-A
Format&for&output&netlist中选择开发语言的类型VHDL或其它。
5.设置完成后,编译工程:在Quartus&II&11.0界面菜单栏中选择菜单栏选择Processing--&start&
Compilation,等待编译,无错后会在test目录下生成simulation目录,执行下一步。
6.生成test
bench文件,Processing--&start--&start
test bench template write执行如图:
会在 test/simulation/modelsim下生成fulladder.vht&文件
7.打开test/simulation/modelsim/fulladder.vht文件(注意文件类型)
LIBRARY & &
USE ieee.std_logic_1164. &
ENTITY fulladder_vhd_tst IS
END fulladder_vhd_
ARCHITECTURE fulladder_arch OF fulladder_vhd_tst IS
-- constants & &
-- signals & &
SIGNAL a : STD_LOGIC;
SIGNAL b : STD_LOGIC;
SIGNAL cin : STD_LOGIC;
SIGNAL cout : STD_LOGIC;
SIGNAL s : STD_LOGIC;
COMPONENT fulladder
a : IN STD_LOGIC;
b : IN STD_LOGIC;
cin : IN STD_LOGIC;
cout : OUT STD_LOGIC;
s : OUT STD_LOGIC
END COMPONENT;
i1 : fulladder
PORT MAP (
-- list connections between master ports and signals
cin =& cin,
cout =& cout,
init : PROCESS & &
-- variable declarations & &
BEGIN & & &
& -- code that executes only once
wait for 1
&=NOT a after 4&
&=NOT b after 2
cin &=NOT cin after
WAIT; & & &
END PROCESS & &
always : PROCESS & &
-- optional sensitivity list &
-- ( & & &
-- variable declarations & &
BEGIN & & &
& -- code executes for every event on sensitivity
WAIT; & & &
END PROCESS & &
END fulladder_
在代码中加入红字代码部分,然后保存;
8.在Quartes&II&11.0界面菜单栏中选择Assignments-&Settings。
选中该界面下EDA&Tool&settings中:
选择compile test bench,点击test benches...,弹出窗口
点击New...
在file name选择文件fulladder.vht,然后点击add,点击OK设置完成。
9.在Quartus&II&11.0界面菜单栏中选择菜单栏Tools中的Run&EDA&Simulation&Tool--&
EDA&RTL&Simulation&进行行为级仿真,接下来就可以看到ModelSim-Altera&6.6d的运行界面及仿真图形。
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。}

我要回帖

更多关于 quartus ii 波形设置 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信